SNLA428 june   2023 DP83826E

 

  1.   1
  2.   Abstract
  3.   Trademarks
  4. 1Time Domain Reflectometry
    1. 1.1 Example Connections
      1. 1.1.1 Open Circuit Cable
      2. 1.1.2 Short Circuit Cable
  5. 2TDR Implementation
    1. 2.1 DP83826 TDR Configuration
    2. 2.2 TDR Algorithm
      1. 2.2.1 TDR Algorithm Example Flow
      2. 2.2.2 TDR Algorithm Matlab Example Code
  6. 3Summary
  7. 4References

Time Domain Reflectometry

Time Domain Reflectometry (TDR) only works for twisted pair connections. TDR involves sending a pulse on TX and RX pair and observing results on either pair. By measuring voltage amplitude, polarity, and the time interval, the PHY can determine the nature and position of the fault. The DP83826 TDR generator sends pulse on the TX and RX channel, then monitors both channels to observe reflections. It sends a pulse one channel at a time, and if reflections are observed on the other channel, then the PHY TDR realizes that the wires have been crossed. The DP83826 can detect one peak for each transmit and receive channel. TDR can be used for the following:

  • Cable open
  • Cable short
  • Impedance discontinuity

TDR can only be used when the link is down.