JAJSP90B October   2022  – November 2023 TMS320F2800132 , TMS320F2800133 , TMS320F2800135 , TMS320F2800137

PRODUCTION DATA  

  1.   1
  2. 特長
  3. アプリケーション
  4. 概要
    1. 3.1 機能ブロック図
  5. デバイスの比較
    1. 4.1 関連製品
  6. ピン構成および機能
    1. 5.1 ピン配置図
    2. 5.2 ピン属性
    3. 5.3 信号の説明
      1. 5.3.1 アナログ信号
      2. 5.3.2 デジタル信号
      3. 5.3.3 電源およびグランド
      4. 5.3.4 テスト、JTAG、リセット
    4. 5.4 ピン多重化
      1. 5.4.1 GPIO 多重化ピン
        1. 5.4.1.1 GPIO 多重化ピン
      2. 5.4.2 ADC ピンのデジタル入力 (AIO)
      3. 5.4.3 ADC ピン上のデジタル入出力 (AGPIO)
      4. 5.4.4 GPIO 入力クロスバー
      5. 5.4.5 GPIO 出力クロスバーおよび ePWM クロスバー
    5. 5.5 GPIO および ADC の割り当て
    6. 5.6 内部プルアップおよびプルダウン付きのピン
    7. 5.7 未使用ピンの接続
  7. 仕様
    1. 6.1  絶対最大定格
    2. 6.2  ESD 定格
    3. 6.3  推奨動作条件
    4. 6.4  消費電力の概略
      1. 6.4.1 システム消費電流 - VREG イネーブル - 内部電源
      2. 6.4.2 システム消費電流 - VREG ディセーブル - 外部電源
      3. 6.4.3 動作モード・テストの説明
      4. 6.4.4 消費電流のグラフ
      5. 6.4.5 消費電流の低減
        1. 6.4.5.1 ペリフェラル・ディセーブル時の標準的な電流低減
    5. 6.5  電気的特性
    6. 6.6  PM パッケージの熱抵抗特性
    7. 6.7  PT パッケージの熱抵抗特性
    8. 6.8  RGZ パッケージの熱抵抗特性
    9. 6.9  RHB パッケージの熱抵抗特性
    10. 6.10 熱設計の検討事項
    11. 6.11 システム
      1. 6.11.1  パワー・マネージメント・モジュール (PMM)
        1. 6.11.1.1 概要
        2. 6.11.1.2 概要
          1. 6.11.1.2.1 電源レール監視
            1. 6.11.1.2.1.1 I/O POR (パワーオン・リセット) 監視
            2. 6.11.1.2.1.2 I/O BOR (ブラウンアウト・リセット) 監視
            3. 6.11.1.2.1.3 VDD POR (パワーオン・リセット) 監視
          2. 6.11.1.2.2 外部監視回路の使用
          3. 6.11.1.2.3 遅延ブロック
          4. 6.11.1.2.4 内部1.2V LDO 電圧レギュレータ (VREG)
          5. 6.11.1.2.5 VREGENZ
        3. 6.11.1.3 外付け部品
          1. 6.11.1.3.1 デカップリング・コンデンサ
            1. 6.11.1.3.1.1 VDDIO デカップリング
            2. 6.11.1.3.1.2 VDD デカップリング
        4. 6.11.1.4 電源シーケンス
          1. 6.11.1.4.1 電源ピンの一括接続
          2. 6.11.1.4.2 信号ピンの電源シーケンス
          3. 6.11.1.4.3 電源ピンの電源シーケンス
            1. 6.11.1.4.3.1 外部 VREG / VDD モード・シーケンス
            2. 6.11.1.4.3.2 内部 VREG/VDD モード・シーケンス
            3. 6.11.1.4.3.3 電源シーケンスの概要と違反の影響
            4. 6.11.1.4.3.4 電源スルーレート
        5. 6.11.1.5 推奨動作条件の PMM への適用
        6. 6.11.1.6 パワー・マネージメント・モジュールの電気的データおよびタイミング
          1. 6.11.1.6.1 パワー・マネージメント・モジュールの動作条件
          2. 6.11.1.6.2 パワー・マネージメント・モジュールの特性
          3.        電源電圧
      2. 6.11.2  リセット・タイミング
        1. 6.11.2.1 リセット・ソース
        2. 6.11.2.2 リセットの電気的データおよびタイミング
          1. 6.11.2.2.1 リセット - XRSn - タイミング要件
          2. 6.11.2.2.2 リセット - XRSn - スイッチング特性
          3. 6.11.2.2.3 リセットのタイミング図
      3. 6.11.3  クロック仕様
        1. 6.11.3.1 クロック・ソース
        2. 6.11.3.2 クロック周波数、要件、および特性
          1. 6.11.3.2.1 入力クロック周波数およびタイミング要件、PLL ロック時間
            1. 6.11.3.2.1.1 入力クロック周波数
            2. 6.11.3.2.1.2 XTAL 発振器の特性
            3. 6.11.3.2.1.3 外部の (水晶振動子ではない) クロック・ソース使用時の X1 入力レベルの特性
            4. 6.11.3.2.1.4 X1 のタイミング要件
            5. 6.11.3.2.1.5 AUXCLKIN のタイミング要件
            6. 6.11.3.2.1.6 APLL 特性
            7. 6.11.3.2.1.7 XCLKOUT のスイッチング特性 - PLL バイパスまたはイネーブル
            8. 6.11.3.2.1.8 内部クロック周波数
        3. 6.11.3.3 入力クロックおよび PLL
        4. 6.11.3.4 XTAL 発振器
          1. 6.11.3.4.1 概要
          2. 6.11.3.4.2 概要
            1. 6.11.3.4.2.1 電気発振回路
              1. 6.11.3.4.2.1.1 動作モード
                1. 6.11.3.4.2.1.1.1 水晶動作モード
                2. 6.11.3.4.2.1.1.2 シングルエンド動作モード
              2. 6.11.3.4.2.1.2 XCLKOUT での XTAL 出力
            2. 6.11.3.4.2.2 水晶振動子
            3. 6.11.3.4.2.3 GPIO 動作モード
          3. 6.11.3.4.3 機能動作
            1. 6.11.3.4.3.1 ESR – 等価直列抵抗
            2. 6.11.3.4.3.2 Rneg – 負性抵抗
            3. 6.11.3.4.3.3 起動時間
              1. 6.11.3.4.3.3.1 X1 / X2 事前条件
            4. 6.11.3.4.3.4 DL – 励振レベル
          4. 6.11.3.4.4 水晶振動子の選択方法
          5. 6.11.3.4.5 テスト
          6. 6.11.3.4.6 一般的な問題とデバッグのヒント
          7. 6.11.3.4.7 水晶発振回路の仕様
            1. 6.11.3.4.7.1 水晶発振器のパラメータ
            2. 6.11.3.4.7.2 水晶振動子の等価直列抵抗 (ESR) 要件
            3. 6.11.3.4.7.3 水晶発振器の電気的特性
        5. 6.11.3.5 内部発振器
          1. 6.11.3.5.1 INTOSC の特性
          2. 6.11.3.5.2 外部高精度抵抗 (ExtR) を使用した場合の INTOSC2
      4. 6.11.4  フラッシュ・パラメータ
        1. 6.11.4.1 フラッシュ・パラメータ 
      5. 6.11.5  RAM の仕様
      6. 6.11.6  ROM の仕様
      7. 6.11.7  エミュレーション / JTAG
        1. 6.11.7.1 JTAG の電気的データおよびタイミング
          1. 6.11.7.1.1 JTAG のタイミング要件
          2. 6.11.7.1.2 JTAG のスイッチング特性
          3. 6.11.7.1.3 JTAG のタイミング図
        2. 6.11.7.2 cJTAG の電気的データおよびタイミング
          1. 6.11.7.2.1 cJTAG のタイミング要件
          2. 6.11.7.2.2 cJTAG のスイッチング特性
          3. 6.11.7.2.3 cJTAG のタイミング図
      8. 6.11.8  GPIO の電気的データおよびタイミング
        1. 6.11.8.1 GPIO - 出力タイミング
          1. 6.11.8.1.1 汎用出力のスイッチング特性
          2. 6.11.8.1.2 汎用出力のタイミング図
        2. 6.11.8.2 GPIO - 入力タイミング
          1. 6.11.8.2.1 汎用入力のタイミング要件
          2. 6.11.8.2.2 サンプリング・モード
        3. 6.11.8.3 入力信号のサンプリング・ウィンドウ幅
      9. 6.11.9  割り込み
        1. 6.11.9.1 外部割り込み (XINT) の電気的データおよびタイミング
          1. 6.11.9.1.1 外部割り込みのタイミング要件
          2. 6.11.9.1.2 外部割り込みのスイッチング特性
          3. 6.11.9.1.3 外部割り込みのタイミング
      10. 6.11.10 低消費電力モード
        1. 6.11.10.1 クロック・ゲーティング低消費電力モード
        2. 6.11.10.2 低消費電力モードのウェークアップ・タイミング
          1. 6.11.10.2.1 IDLE モードのタイミング要件
          2. 6.11.10.2.2 IDLE モードのスイッチング特性
          3. 6.11.10.2.3 IDLE 開始および終了タイミング図
          4. 6.11.10.2.4 STANDBY モードのタイミング要件
          5. 6.11.10.2.5 STANDBY モードのスイッチング特性
          6. 6.11.10.2.6 STANDBY の開始 / 終了タイミング図
          7. 6.11.10.2.7 HALT モードのタイミング要件
          8. 6.11.10.2.8 HALT モードのスイッチング特性
          9. 6.11.10.2.9 HALT 開始および終了タイミング図
    12. 6.12 アナログ・ペリフェラル
      1. 6.12.1 アナログ・ピンと内部接続
      2. 6.12.2 アナログ信号の説明
      3. 6.12.3 A/D コンバータ (ADC)
        1. 6.12.3.1 ADC の構成可能性
          1. 6.12.3.1.1 信号モード
        2. 6.12.3.2 ADC の電気的データおよびタイミング
          1. 6.12.3.2.1 ADC の動作条件
          2. 6.12.3.2.2 ADC 特性
          3. 6.12.3.2.3 ピンごとの ADC 性能
          4. 6.12.3.2.4 ADC 入力モデル
          5. 6.12.3.2.5 ADC のタイミング図
      4. 6.12.4 温度センサ
        1. 6.12.4.1 温度センサの電気的データおよびタイミング
          1. 6.12.4.1.1 温度センサの特性
      5. 6.12.5 コンパレータ・サブシステム (CMPSS)
        1. 6.12.5.1 CMPSS モジュールのバリエーション
        2. 6.12.5.2 CMPx_DACL
        3. 6.12.5.3 CMPSS 接続図
        4. 6.12.5.4 ブロック図
        5. 6.12.5.5 CMPSS の電気的データおよびタイミング
          1. 6.12.5.5.1 CMPSS コンパレータの電気的特性
          2. 6.12.5.5.2 CMPSS_LITE コンパレータの電気的特性
          3.        CMPSS コンパレータの入力換算オフセットとヒステリシス
          4. 6.12.5.5.3 CMPSS DAC の静的電気特性
          5. 6.12.5.5.4 CMPSS_LITE DAC の静的電気特性
          6. 6.12.5.5.5 CMPSS の説明用グラフ
          7. 6.12.5.5.6 CMPSS DAC の動的誤差
          8. 6.12.5.5.7 CMPx_DACL のバッファ付き出力の動作条件
          9. 6.12.5.5.8 CMPx_DACL のバッファ付き出力の電気的特性
    13. 6.13 制御ペリフェラル
      1. 6.13.1 拡張パルス幅変調器 (ePWM)
        1. 6.13.1.1 制御ペリフェラルの同期
        2. 6.13.1.2 ePWM の電気的データおよびタイミング
          1. 6.13.1.2.1 ePWM のタイミング要件
          2. 6.13.1.2.2 ePWM のスイッチング特性
          3. 6.13.1.2.3 トリップ・ゾーン入力のタイミング
            1. 6.13.1.2.3.1 トリップ・ゾーン入力のタイミング要件
            2. 6.13.1.2.3.2 PWM ハイ・インピーダンス特性のタイミング図
      2. 6.13.2 高分解能パルス幅変調器 (HRPWM)
        1. 6.13.2.1 HRPWM の電気的データおよびタイミング
          1. 6.13.2.1.1 高分解能 PWM の特性
      3. 6.13.3 外部 ADC 変換開始の電気的データおよびタイミング
        1. 6.13.3.1 外部 ADC 変換開始のスイッチング特性
        2. 6.13.3.2 ADCSOCAO または ADCSOCBO のタイミング図
      4. 6.13.4 拡張キャプチャ (eCAP)
        1. 6.13.4.1 eCAP のブロック図
        2. 6.13.4.2 eCAP の同期
        3. 6.13.4.3 eCAP の電気的データおよびタイミング
          1. 6.13.4.3.1 eCAP のタイミング要件
          2. 6.13.4.3.2 eCAP のスイッチング特性
      5. 6.13.5 拡張直交エンコーダ・パルス (eQEP)
        1. 6.13.5.1 eQEP の電気的データおよびタイミング
          1. 6.13.5.1.1 eQEP のタイミング要件
          2. 6.13.5.1.2 eQEP のスイッチング特性
    14. 6.14 通信ペリフェラル
      1. 6.14.1 CAN (Controller Area Network)
      2. 6.14.2 I2C (Inter-Integrated Circuit)
        1. 6.14.2.1 I2C の電気的データおよびタイミング
          1. 6.14.2.1.1 I2C のタイミング要件
          2. 6.14.2.1.2 I2C のスイッチング特性
          3. 6.14.2.1.3 I2C のタイミング図
      3. 6.14.3 シリアル通信インターフェイス (SCI)
      4. 6.14.4 シリアル・ペリフェラル・インターフェイス (SPI)
        1. 6.14.4.1 SPI マスタ・モードのタイミング
          1. 6.14.4.1.1 SPI マスタ・モードのタイミング要件
          2. 6.14.4.1.2 SPI マスタ・モードのスイッチング特性 - クロック位相 0
          3. 6.14.4.1.3 SPI マスタ・モードのスイッチング特性 - クロック位相 1
          4. 6.14.4.1.4 SPI マスタ・モードのタイミング図
        2. 6.14.4.2 SPI スレーブ・モードのタイミング
          1. 6.14.4.2.1 SPI スレーブ・モードのタイミング要件
          2. 6.14.4.2.2 SPI スレーブ・モードのスイッチング特性
          3. 6.14.4.2.3 SPI スレーブ・モードのタイミング図
  8. 詳細説明
    1. 7.1  概要
    2. 7.2  機能ブロック図
    3. 7.3  メモリ
      1. 7.3.1 メモリ・マップ
        1. 7.3.1.1 専用 RAM (Mx RAM)
        2. 7.3.1.2 ローカル共有 RAM (LSx RAM)
      2. 7.3.2 フラッシュ・メモリ・マップ
      3. 7.3.3 ペリフェラル・レジスタのメモリ・マップ
    4. 7.4  識別
    5. 7.5  C28x プロセッサ
      1. 7.5.1 浮動小数点演算ユニット (FPU)
      2. 7.5.2 三角関数演算ユニット (TMU)
    6. 7.6  デバイス・ブート・モード
      1. 7.6.1 デバイス・ブートの構成
        1. 7.6.1.1 ブート・モード・ピンの構成
        2. 7.6.1.2 ブート・モード・テーブル・オプションの設定
      2. 7.6.2 GPIO の割り当て
    7. 7.7  セキュリティ
      1. 7.7.1 チップの境界の保護
        1. 7.7.1.1 JTAGLOCK
        2. 7.7.1.2 ゼロピン・ブート
      2. 7.7.2 デュアル ゾーン セキュリティ
      3. 7.7.3 免責事項
    8. 7.8  ウォッチドッグ
    9. 7.9  C28x タイマ
    10. 7.10 デュアル・クロック・コンパレータ (DCC)
      1. 7.10.1 特長
      2. 7.10.2 DCCx クロック・ソース入力のマッピング
  9. アプリケーション、実装、およびレイアウト
    1. 8.1 アプリケーションと実装
    2. 8.2 デバイスの主な特長
    3. 8.3 アプリケーション情報
      1. 8.3.1 代表的なアプリケーション
        1. 8.3.1.1 エアコン室外機
          1. 8.3.1.1.1 システム・ブロック図
          2. 8.3.1.1.2 エアコン室外機のリソース
        2. 8.3.1.2 洗濯機 / 乾燥機
          1. 8.3.1.2.1 システム・ブロック図
          2. 8.3.1.2.2 洗濯機 / 乾燥機のリソース
        3. 8.3.1.3 ロボット芝刈り機
          1. 8.3.1.3.1 システム・ブロック図
          2. 8.3.1.3.2 ロボット芝刈り機のリソース
        4. 8.3.1.4 商用テレコム整流器
          1. 8.3.1.4.1 システム・ブロック図
          2. 8.3.1.4.2 商用テレコム整流器のリソース
  10. デバイスおよびドキュメントのサポート
    1. 9.1 使い始めと次の手順
    2. 9.2 デバイス命名規則
    3. 9.3 マーキング
    4. 9.4 ツールとソフトウェア
    5. 9.5 ドキュメントのサポート
    6. 9.6 サポート・リソース
    7. 9.7 商標
    8. 9.8 静電気放電に関する注意事項
    9. 9.9 用語集
  11. 10改訂履歴
  12. 11メカニカル、パッケージ、および注文情報

パッケージ・オプション

デバイスごとのパッケージ図は、PDF版データシートをご参照ください。

メカニカル・データ(パッケージ|ピン)
  • RGZ|48
  • RHB|32
  • PM|64
  • PT|48
サーマルパッド・メカニカル・データ
発注情報

フラッシュ・メモリ・マップ

F280013x デバイスでは、1 つのフラッシュ・バンク (256KB [128KW]) を使用可能です。フラッシュをプログラムするコードは RAM から実行する必要があります。消去またはプログラム動作が進行中の場合、フラッシュ・バンクにアクセスすることはできません。

表 7-2 フラッシュ・メモリ・マップ
部品番号 セクタ アドレス ECC アドレス
サイズ 開始 終了 サイズ 開始 終了
OTP セクタ
すべて TI OTP バンク 0 (アンセキュア) 1520 x 16 0x0007 1000 0x0007 15EF 128 x 16 0x0107 0200 0x0107 02BD
TI OTP バンク 0 (セキュア) 16 x 16 0x0007 15F0 0x0007 15FF 128 x 16 0x0107 02BE 0x0107 02BF
ユーザーが構成可能な DCSM OTP バンク 0 1K x 16 0x0007 8000 0x0007 83FF 128 x 16 0x0107 1000 0x0107 107F
バンク 0 セクタ
すべて セクタ 0 1K x 16 0x0008 0000 0x0008 03FF 128 x 16 0x0108 0000 0x0108 007F
セクタ 1 1K x 16 0x0008 0400 0x0008 07FF 128 x 16 0x0108 0080 0x0108 00FF
セクタ 2 1K x 16 0x0008 0800 0x0008 0BFF 128 x 16 0x0108 0100 0x0108 017F
セクタ 3 1K x 16 0x0008 0C00 0x0008 0FFF 128 x 16 0x0108 0180 0x0108 01FF
セクタ 4 1K x 16 0x0008 1000 0x0008 13FF 128 x 16 0x0108 0200 0x0108 027F
セクタ 5 1K x 16 0x0008 1400 0x0008 17FF 128 x 16 0x0108 0280 0x0108 02FF
セクタ 6 1K x 16 0x0008 1800 0x0008 1BFF 128 x 16 0x0108 0300 0x0108 037F
セクタ 7 1K x 16 0x0008 1C00 0x0008 1FFF 128 x 16 0x0108 0380 0x0108 03FF
セクタ 8 1K x 16 0x0008 2000 0x0008 23FF 128 x 16 0x0108 0400 0x0108 047F
セクタ 9 1K x 16 0x0008 2400 0x0008 27FF 128 x 16 0x0108 0480 0x0108 04FF
セクタ 10 1K x 16 0x0008 2800 0x0008 2BFF 128 x 16 0x0108 0500 0x0108 057F
セクタ 11 1K x 16 0x0008 2C00 0x0008 2FFF 128 x 16 0x0108 0580 0x0108 05FF
セクタ 12 1K x 16 0x0008 3000 0x0008 33FF 128 x 16 0x0108 0600 0x0108 067F
セクタ 13 1K x 16 0x0008 3400 0x0008 37FF 128 x 16 0x0108 0680 0x0108 06FF
セクタ 14 1K x 16 0x0008 3800 0x0008 3BFF 128 x 16 0x0108 0700 0x0108 077F
セクタ 15 1K x 16 0x0008 3C00 0x0008 3FFF 128 x 16 0x0108 0780 0x0108 07FF
セクタ 16 1K x 16 0x0008 4000 0x0008 43FF 128 x 16 0x0108 0800 0x0108 087F
セクタ 17 1K x 16 0x0008 4400 0x0008 47FF 128 x 16 0x0108 0880 0x0108 08FF
セクタ 18 1K x 16 0x0008 4800 0x0008 4BFF 128 x 16 0x0108 0900 0x0108 097F
セクタ 19 1K x 16 0x0008 4C00 0x0008 4FFF 128 x 16 0x0108 0980 0x0108 09FF
セクタ 20 1K x 16 0x0008 5000 0x0008 53FF 128 x 16 0x0108 0A00 0x0108 0A7F
セクタ 21 1K x 16 0x0008 5400 0x0008 57FF 128 x 16 0x0108 0A80 0x0108 0AFF
セクタ 22 1K x 16 0x0008 5800 0x0008 5BFF 128 x 16 0x0108 0B00 0x0108 0B7F
セクタ 23 1K x 16 0x0008 5C00 0x0008 5FFF 128 x 16 0x0108 0B80 0x0108 0BFF
セクタ 24 1K x 16 0x0008 6000 0x0008 63FF 128 x 16 0x0108 0C00 0x0108 0C7F
セクタ 25 1K x 16 0x0008 6400 0x0008 67FF 128 x 16 0x0108 0C80 0x0108 0CFF
セクタ 26 1K x 16 0x0008 6800 0x0008 6BFF 128 x 16 0x0108 0D00 0x0108 0D7F
セクタ 27 1K x 16 0x0008 6C00 0x0008 6FFF 128 x 16 0x0108 0D80 0x0108 0DFF
セクタ 28 1K x 16 0x0008 7000 0x0008 73FF 128 x 16 0x0108 0E00 0x0108 0E7F
セクタ 29 1K x 16 0x0008 7400 0x0008 77FF 128 x 16 0x0108 0E80 0x0108 0EFF
セクタ 30 1K x 16 0x0008 7800 0x0008 7BFF 128 x 16 0x0108 0F00 0x0108 0F7F
セクタ 31 1K x 16 0x0008 7C00 0x0008 7FFF 128 x 16 0x0108 0F80 0x0108 0FFF
F2800137、F2800135 セクタ 32 1K x 16 0x0008 8000 0x0008 83FF 128 x 16 0x0108 1000 0x0108 107F
セクタ 33 1K x 16 0x0008 8400 0x0008 87FF 128 x 16 0x0108 1080 0x0108 10FF
セクタ 34 1K x 16 0x0008 8800 0x0008 8BFF 128 x 16 0x0108 1100 0x0108 117F
セクタ 35 1K x 16 0x0008 8C00 0x0008 8FFF 128 x 16 0x0108 1180 0x0108 11FF
セクタ 36 1K x 16 0x0008 9000 0x0008 93FF 128 x 16 0x0108 1200 0x0108 127F
セクタ 37 1K x 16 0x0008 9400 0x0008 97FF 128 x 16 0x0108 1280 0x0108 12FF
セクタ 38 1K x 16 0x0008 9800 0x0008 9BFF 128 x 16 0x0108 1300 0x0108 137F
セクタ 39 1K x 16 0x0008 9C00 0x0008 9FFF 128 x 16 0x0108 1380 0x0108 13FF
セクタ 40 1K x 16 0x0008 A000 0x0008 A3FF 128 x 16 0x0108 1400 0x0108 147F
セクタ 41 1K x 16 0x0008 A400 0x0008 A7FF 128 x 16 0x0108 1480 0x0108 14FF
セクタ 42 1K x 16 0x0008 A800 0x0008 ABFF 128 x 16 0x0108 1500 0x0108 157F
セクタ 43 1K x 16 0x0008 AC00 0x0008 AFFF 128 x 16 0x0108 1580 0x0108 15FF
セクタ 44 1K x 16 0x0008 B000 0x0008 B3FF 128 x 16 0x0108 1600 0x0108 167F
セクタ 45 1K x 16 0x0008 B400 0x0008 B7FF 128 x 16 0x0108 1680 0x0108 16FF
セクタ 46 1K x 16 0x0008 B800 0x0008 BBFF 128 x 16 0x0108 1700 0x0108 177F
セクタ 47 1K x 16 0x0008 BC00 0x0008 BFFF 128 x 16 0x0108 1780 0x0108 17FF
セクタ 48 1K x 16 0x0008 C000 0x0008 C3FF 128 x 16 0x0108 1800 0x0108 187F
セクタ 49 1K x 16 0x0008 C400 0x0008 C7FF 128 x 16 0x0108 1880 0x0108 18FF
セクタ 50 1K x 16 0x0008 C800 0x0008 CBFF 128 x 16 0x0108 1900 0x0108 197F
セクタ 51 1K x 16 0x0008 CC00 0x0008 CFFF 128 x 16 0x0108 1980 0x0108 19FF
セクタ 52 1K x 16 0x0008 D000 0x0008 D3FF 128 x 16 0x0108 1A00 0x0108 1A7F
セクタ 53 1K x 16 0x0008 D400 0x0008 D7FF 128 x 16 0x0108 1A80 0x0108 1AFF
セクタ 54 1K x 16 0x0008 D800 0x0008 DBFF 128 x 16 0x0108 1B00 0x0108 1B7F
セクタ 55 1K x 16 0x0008 DC00 0x0008 DFFF 128 x 16 0x0108 1B80 0x0108 1BFF
セクタ 56 1K x 16 0x0008 E000 0x0008 E3FF 128 x 16 0x0108 1C00 0x0108 1C7F
セクタ 57 1K x 16 0x0008 E400 0x0008 E7FF 128 x 16 0x0108 1C80 0x0108 1CFF
セクタ 58 1K x 16 0x0008 E800 0x0008 EBFF 128 x 16 0x0108 1D00 0x0108 1D7F
セクタ 59 1K x 16 0x0008 EC00 0x0008 EFFF 128 x 16 0x0108 1D80 0x0108 1DFF
セクタ 60 1K x 16 0x0008 F000 0x0008 F3FF 128 x 16 0x0108 1E00 0x0108 1E7F
セクタ 61 1K x 16 0x0008 F400 0x0008 F7FF 128 x 16 0x0108 1E80 0x0108 1EFF
セクタ 62 1K x 16 0x0008 F800 0x0008 FBFF 128 x 16 0x0108 1F00 0x0108 1F7F
セクタ 63 1K x 16 0x0008 FC00 0x0008 FFFF 128 x 16 0x0108 1F80 0x0108 1FFF
F2800137 セクタ 64 1K x 16 0x0009 0000 0x0009 03FF 128 x 16 0x0108 2000 0x0108 207F
セクタ 65 1K x 16 0x0009 0400 0x0009 07FF 128 x 16 0x0108 2080 0x0108 20FF
セクタ 66 1K x 16 0x0009 0800 0x0009 0BFF 128 x 16 0x0108 2100 0x0108 217F
セクタ 67 1K x 16 0x0009 0C00 0x0009 0FFF 128 x 16 0x0108 2180 0x0108 21FF
セクタ 68 1K x 16 0x0009 1000 0x0009 13FF 128 x 16 0x0108 2200 0x0108 227F
セクタ 69 1K x 16 0x0009 1400 0x0009 17FF 128 x 16 0x0108 2280 0x0108 22FF
セクタ 70 1K x 16 0x0009 1800 0x0009 1BFF 128 x 16 0x0108 2300 0x0108 237F
セクタ 71 1K x 16 0x0009 1C00 0x0009 1FFF 128 x 16 0x0108 2380 0x0108 23FF
セクタ 72 1K x 16 0x0009 2000 0x0009 23FF 128 x 16 0x0108 2400 0x0108 247F
セクタ 73 1K x 16 0x0009 2400 0x0009 27FF 128 x 16 0x0108 2480 0x0108 24FF
セクタ 74 1K x 16 0x0009 2800 0x0009 2BFF 128 x 16 0x0108 2500 0x0108 257F
セクタ 75 1K x 16 0x0009 2C00 0x0009 2FFF 128 x 16 0x0108 2580 0x0108 25FF
セクタ 76 1K x 16 0x0009 3000 0x0009 33FF 128 x 16 0x0108 2600 0x0108 267F
セクタ 77 1K x 16 0x0009 3400 0x0009 37FF 128 x 16 0x0108 2680 0x0108 26FF
セクタ 78 1K x 16 0x0009 3800 0x0009 3BFF 128 x 16 0x0108 2700 0x0108 277F
セクタ 79 1K x 16 0x0009 3C00 0x0009 3FFF 128 x 16 0x0108 2780 0x0108 27FF
セクタ 80 1K x 16 0x0009 4000 0x0009 43FF 128 x 16 0x0108 2800 0x0108 287F
セクタ 81 1K x 16 0x0009 4400 0x0009 47FF 128 x 16 0x0108 2880 0x0108 28FF
セクタ 82 1K x 16 0x0009 4800 0x0009 4BFF 128 x 16 0x0108 2900 0x0108 297F
セクタ 83 1K x 16 0x0009 4C00 0x0009 4FFF 128 x 16 0x0108 2980 0x0108 29FF
セクタ 84 1K x 16 0x0009 5000 0x0009 53FF 128 x 16 0x0108 2A00 0x0108 2A7F
セクタ 85 1K x 16 0x0009 5400 0x0009 57FF 128 x 16 0x0108 2A80 0x0108 2AFF
セクタ 86 1K x 16 0x0009 5800 0x0009 5BFF 128 x 16 0x0108 2B00 0x0108 2B7F
セクタ 87 1K x 16 0x0009 5C00 0x0009 5FFF 128 x 16 0x0108 2B80 0x0108 2BFF
セクタ 88 1K x 16 0x0009 6000 0x0009 63FF 128 x 16 0x0108 2C00 0x0108 2C7F
セクタ 89 1K x 16 0x0009 6400 0x0009 67FF 128 x 16 0x0108 2C80 0x0108 2CFF
セクタ 90 1K x 16 0x0009 6800 0x0009 6BFF 128 x 16 0x0108 2D00 0x0108 2D7F
セクタ 91 1K x 16 0x0009 6C00 0x0009 6FFF 128 x 16 0x0108 2D80 0x0108 2DFF
セクタ 92 1K x 16 0x0009 7000 0x0009 73FF 128 x 16 0x0108 2E00 0x0108 2E7F
セクタ 93 1K x 16 0x0009 7400 0x0009 77FF 128 x 16 0x0108 2E80 0x0108 2EFF
セクタ 94 1K x 16 0x0009 7800 0x0009 7BFF 128 x 16 0x0108 2F00 0x0108 2F7F
セクタ 95 1K x 16 0x0009 7C00 0x0009 7FFF 128 x 16 0x0108 2F80 0x0108 2FFF
F2800137 セクタ 96 1K x 16 0x0009 8000 0x0009 83FF 128 x 16 0x0108 3000 0x0108 307F
セクタ 97 1K x 16 0x0009 8400 0x0009 87FF 128 x 16 0x0108 3080 0x0108 30FF
セクタ 98 1K x 16 0x0009 8800 0x0009 8BFF 128 x 16 0x0108 3100 0x0108 317F
セクタ 99 1K x 16 0x0009 8C00 0x0009 8FFF 128 x 16 0x0108 3180 0x0108 31FF
セクタ 100 1K x 16 0x0009 9000 0x0009 93FF 128 x 16 0x0108 3200 0x0108 327F
セクタ 101 1K x 16 0x0009 9400 0x0009 97FF 128 x 16 0x0108 3280 0x0108 32FF
セクタ 102 1K x 16 0x0009 9800 0x0009 9BFF 128 x 16 0x0108 3300 0x0108 337F
セクタ 103 1K x 16 0x0009 9C00 0x0009 9FFF 128 x 16 0x0108 3380 0x0108 33FF
セクタ 104 1K x 16 0x0009 A000 0x0009 A3FF 128 x 16 0x0108 3400 0x0108 347F
セクタ 105 1K x 16 0x0009 A400 0x0009 A7FF 128 x 16 0x0108 3480 0x0108 34FF
セクタ 106 1K x 16 0x0009 A800 0x0009 ABFF 128 x 16 0x0108 3500 0x0108 357F
セクタ 107 1K x 16 0x0009 AC00 0x0009 AFFF 128 x 16 0x0108 3580 0x0108 35FF
セクタ 108 1K x 16 0x0009 B000 0x0009 B3FF 128 x 16 0x0108 3600 0x0108 367F
セクタ 109 1K x 16 0x0009 B400 0x0009 B7FF 128 x 16 0x0108 3680 0x0108 36FF
セクタ 110 1K x 16 0x0009 B800 0x0009 BBFF 128 x 16 0x0108 3700 0x0108 377F
セクタ 111 1K x 16 0x0009 BC00 0x0009 BFFF 128 x 16 0x0108 3780 0x0108 37FF
セクタ 112 1K x 16 0x0009 C000 0x0009 C3FF 128 x 16 0x0108 3800 0x0108 387F
セクタ 113 1K x 16 0x0009 C400 0x0009 C7FF 128 x 16 0x0108 3880 0x0108 38FF
セクタ 114 1K x 16 0x0009 C800 0x0009 CBFF 128 x 16 0x0108 3900 0x0108 397F
セクタ 115 1K x 16 0x0009 CC00 0x0009 CFFF 128 x 16 0x0108 3980 0x0108 39FF
セクタ 116 1K x 16 0x0009 D000 0x0009 D3FF 128 x 16 0x0108 3A00 0x0108 3A7F
セクタ 117 1K x 16 0x0009 D400 0x0009 D7FF 128 x 16 0x0108 3A80 0x0108 3AFF
セクタ 118 1K x 16 0x0009 D800 0x0009 DBFF 128 x 16 0x0108 3B00 0x0108 3B7F
セクタ 119 1K x 16 0x0009 DC00 0x0009 DFFF 128 x 16 0x0108 3B80 0x0108 3BFF
セクタ 120 1K x 16 0x0009 E000 0x0009 E3FF 128 x 16 0x0108 3C00 0x0108 3C7F
セクタ 121 1K x 16 0x0009 E400 0x0009 E7FF 128 x 16 0x0108 3C80 0x0108 3CFF
セクタ 122 1K x 16 0x0009 E800 0x0009 EBFF 128 x 16 0x0108 3D00 0x0108 3D7F
セクタ 123 1K x 16 0x0009 EC00 0x0009 EFFF 128 x 16 0x0108 3D80 0x0108 3DFF
セクタ 124 1K x 16 0x0009 F000 0x0009 F3FF 128 x 16 0x0108 3E00 0x0108 3E7F
セクタ 125 1K x 16 0x0009 F400 0x0009 F7FF 128 x 16 0x0108 3E80 0x0108 3EFF
セクタ 126 1K x 16 0x0009 F800 0x0009 FBFF 128 x 16 0x0108 3F00 0x0108 3F7F
セクタ 127 1K x 16 0x0009 FC00 0x0009 FFFF 128 x 16 0x0108 3F80 0x0108 3FFF