JAJSIR1C March   2020  – April 2024 TMS320F280021 , TMS320F280021-Q1 , TMS320F280023 , TMS320F280023-Q1 , TMS320F280023C , TMS320F280025 , TMS320F280025-Q1 , TMS320F280025C , TMS320F280025C-Q1

PRODUCTION DATA  

  1.   1
  2. 特長
  3. アプリケーション
  4. 概要
    1. 3.1 機能ブロック図
  5. デバイスの比較
    1. 4.1 関連製品
  6. 端子構成および機能
    1. 5.1 ピン配置図
    2. 5.2 ピン属性
    3. 5.3 信号の説明
      1. 5.3.1 アナログ信号
      2. 5.3.2 デジタル信号
      3. 5.3.3 電源およびグランド
      4. 5.3.4 テスト、JTAG、リセット
    4. 5.4 ピン多重化
      1. 5.4.1 GPIO 多重化ピン
        1. 5.4.1.1 GPIO 多重化ピンの表
      2. 5.4.2 ADC ピンのデジタル入力 (AIO)
      3. 5.4.3 GPIO 入力クロスバー
      4. 5.4.4 GPIO 出力クロスバー、CLB クロスバー、CLB 出力クロスバー、ePWM クロスバー
    5. 5.5 内部プルアップおよびプルダウン付きのピン
    6. 5.6 未使用ピンの接続
  7. 仕様
    1. 6.1  絶対最大定格
    2. 6.2  ESD 定格 - 民生用
    3. 6.3  ESD 定格 - 車載用
    4. 6.4  推奨動作条件
    5.     電源電圧
    6. 6.5  消費電力の概略
      1. 6.5.1 システム消費電流
      2. 6.5.2 動作モード テストの説明
      3. 6.5.3 消費電流のグラフ
      4. 6.5.4 消費電流の低減
        1. 6.5.4.1 ペリフェラル ディセーブル時の標準的な電流低減
    7. 6.6  電気的特性
    8. 6.7  PN パッケージの熱抵抗特性
    9. 6.8  PM パッケージの熱抵抗特性
    10. 6.9  PT パッケージの熱抵抗特性
    11. 6.10 熱設計の検討事項
    12. 6.11 システム
      1. 6.11.1  パワー マネージメント モジュール (PMM)
        1. 6.11.1.1 概要
        2. 6.11.1.2 概要
          1. 6.11.1.2.1 電源レール監視
            1. 6.11.1.2.1.1 I/O POR (パワーオン・リセット) 監視
            2. 6.11.1.2.1.2 I/O BOR (ブラウンアウト・リセット) 監視
            3. 6.11.1.2.1.3 VDD POR (パワーオン・リセット) 監視
          2. 6.11.1.2.2 外部監視回路の使用
          3. 6.11.1.2.3 遅延ブロック
          4. 6.11.1.2.4 内部1.2V LDO 電圧レギュレータ (VREG)
        3. 6.11.1.3 外付け部品
          1. 6.11.1.3.1 デカップリング・コンデンサ
            1. 6.11.1.3.1.1 VDDIO デカップリング
            2. 6.11.1.3.1.2 VDD デカップリング
        4. 6.11.1.4 電源シーケンス
          1. 6.11.1.4.1 電源ピンの一括接続
          2. 6.11.1.4.2 信号ピンの電源シーケンス
          3. 6.11.1.4.3 電源ピンの電源シーケンス
            1. 6.11.1.4.3.1 内部 VREG/VDD モード シーケンス
            2. 6.11.1.4.3.2 電源シーケンスの概要と違反の影響
            3. 6.11.1.4.3.3 電源スルーレート
        5. 6.11.1.5 パワー・マネージメント・モジュールの電気的データおよびタイミング
          1. 6.11.1.5.1 パワー・マネージメント・モジュールの特性
          2. 6.11.1.5.2 パワー マネージメント モジュールの動作条件
      2. 6.11.2  リセット タイミング
        1. 6.11.2.1 リセット ソース
        2. 6.11.2.2 リセットの電気的データおよびタイミング
          1. 6.11.2.2.1 リセット (XRSn) のタイミング要件
          2. 6.11.2.2.2 リセット (XRSn) のスイッチング特性
          3. 6.11.2.2.3 リセットのタイミング図
      3. 6.11.3  クロック仕様
        1. 6.11.3.1 クロック・ソース
        2. 6.11.3.2 クロック周波数、要件、および特性
          1. 6.11.3.2.1 入力クロック周波数およびタイミング要件、PLL ロック時間
            1. 6.11.3.2.1.1 入力クロック周波数
            2. 6.11.3.2.1.2 XTAL 発振器の特性
            3. 6.11.3.2.1.3 X1 のタイミング要件
            4. 6.11.3.2.1.4 APLL 特性
            5. 6.11.3.2.1.5 XCLKOUT のスイッチング特性
            6. 6.11.3.2.1.6 内部クロック周波数
        3. 6.11.3.3 入力クロックおよび PLL
        4. 6.11.3.4 XTAL 発振器
          1. 6.11.3.4.1 はじめに
          2. 6.11.3.4.2 概要
            1. 6.11.3.4.2.1 電気発振回路
              1. 6.11.3.4.2.1.1 動作モード
                1. 6.11.3.4.2.1.1.1 水晶動作モード
                2. 6.11.3.4.2.1.1.2 シングルエンド動作モード
              2. 6.11.3.4.2.1.2 XCLKOUT での XTAL 出力
            2. 6.11.3.4.2.2 水晶振動子
            3. 6.11.3.4.2.3 GPIO 動作モード
          3. 6.11.3.4.3 機能動作
            1. 6.11.3.4.3.1 ESR – 等価直列抵抗
            2. 6.11.3.4.3.2 Rneg – 負性抵抗
            3. 6.11.3.4.3.3 起動時間
            4. 6.11.3.4.3.4 DL – 励振レベル
          4. 6.11.3.4.4 水晶振動子の選択方法
          5. 6.11.3.4.5 テスト
          6. 6.11.3.4.6 一般的な問題とデバッグのヒント
          7. 6.11.3.4.7 水晶発振回路の仕様
            1. 6.11.3.4.7.1 水晶発振器の電気的特性
            2. 6.11.3.4.7.2 水晶振動子の等価直列抵抗 (ESR) 要件
        5. 6.11.3.5 内部発振器
          1. 6.11.3.5.1 INTOSC の特性
      4. 6.11.4  フラッシュ パラメータ
      5. 6.11.5  RAM の仕様
      6. 6.11.6  ROM の仕様
      7. 6.11.7  エミュレーション / JTAG
        1. 6.11.7.1 JTAG の電気的データおよびタイミング
          1. 6.11.7.1.1 JTAG のタイミング要件
          2. 6.11.7.1.2 JTAG スイッチング特性
          3. 6.11.7.1.3 JTAG タイミング図
        2. 6.11.7.2 cJTAG の電気的データおよびタイミング
          1. 6.11.7.2.1 cJTAG のタイミング要件
          2. 6.11.7.2.2 cJTAG のスイッチング特性
          3. 6.11.7.2.3 cJTAG のタイミング図
      8. 6.11.8  GPIO の電気的データおよびタイミング
        1. 6.11.8.1 GPIO - 出力タイミング
          1. 6.11.8.1.1 汎用出力のスイッチング特性
        2. 6.11.8.2 GPIO - 入力タイミング
          1. 6.11.8.2.1 汎用入力のタイミング要件
          2. 6.11.8.2.2 サンプリング モード
        3. 6.11.8.3 入力信号のサンプリング ウィンドウ幅
      9. 6.11.9  割り込み
        1. 6.11.9.1 外部割り込み (XINT) の電気的データおよびタイミング
          1. 6.11.9.1.1 外部割り込みのタイミング要件
          2. 6.11.9.1.2 外部割り込みのスイッチング特性
          3. 6.11.9.1.3 外部割り込みのタイミング
      10. 6.11.10 低消費電力モード
        1. 6.11.10.1 クロック ゲーティング低消費電力モード
        2. 6.11.10.2 低消費電力モードのウェークアップ タイミング
          1. 6.11.10.2.1 アイドル モードのタイミング要件
          2. 6.11.10.2.2 アイドル モードのスイッチング特性
          3. 6.11.10.2.3 IDLE 開始および終了タイミング図
          4. 6.11.10.2.4 スタンバイ モードのタイミング要件
          5. 6.11.10.2.5 スタンバイ モードのスイッチング特性
          6. 6.11.10.2.6 STANDBY の開始 / 終了タイミング図
          7. 6.11.10.2.7 ホールト モードのタイミング要件
          8. 6.11.10.2.8 ホールト モードのスイッチング特性
          9. 6.11.10.2.9 HALT 開始および終了タイミング図
    13. 6.12 アナログ ペリフェラル
      1. 6.12.1 アナログ ピンと内部接続
      2. 6.12.2 アナログ信号の説明
      3. 6.12.3 A/D コンバータ (ADC)
        1. 6.12.3.1 ADC の構成可能性
          1. 6.12.3.1.1 信号モード
        2. 6.12.3.2 ADC の電気的データおよびタイミング
          1. 6.12.3.2.1 ADC の動作条件
          2. 6.12.3.2.2 ADC の特性
          3. 6.12.3.2.3 ‌ADC の INL と DNL
          4. 6.12.3.2.4 ADC 入力モデル
          5. 6.12.3.2.5 ADC のタイミング図
      4. 6.12.4 温度センサ
        1. 6.12.4.1 温度センサの電気的データおよびタイミング
          1. 6.12.4.1.1 温度センサの特性
      5. 6.12.5 コンパレータ・サブシステム (CMPSS)
        1. 6.12.5.1 CMPSS の電気的データおよびタイミング
          1. 6.12.5.1.1 コンパレータ電気的特性
          2.        CMPSS コンパレータの入力換算オフセットとヒステリシス
          3. 6.12.5.1.2 CMPSS DAC の静的電気特性
          4. 6.12.5.1.3 CMPSS の説明用グラフ
    14. 6.13 制御ペリフェラル
      1. 6.13.1 拡張パルス幅変調器 (ePWM)
        1. 6.13.1.1 制御ペリフェラルの同期
        2. 6.13.1.2 ePWM の電気的データおよびタイミング
          1. 6.13.1.2.1 ePWM のタイミング要件
          2. 6.13.1.2.2 ePWM のスイッチング特性
          3. 6.13.1.2.3 トリップ ゾーン入力のタイミング
            1. 6.13.1.2.3.1 トリップ ゾーン入力のタイミング要件
        3. 6.13.1.3 外部 ADC 変換開始の電気的データおよびタイミング
          1. 6.13.1.3.1 外部 ADC 変換開始のスイッチング特性
      2. 6.13.2 高分解能パルス幅変調器 (HRPWM)
        1. 6.13.2.1 HRPWM の電気的データおよびタイミング
          1. 6.13.2.1.1 高分解能 PWM の特性
      3. 6.13.3 拡張キャプチャおよび高分解能キャプチャ (eCAP、HRCAP)
        1. 6.13.3.1 高分解能キャプチャ (HRCAP)
        2. 6.13.3.2 eCAP と HRCAP のブロック図
        3. 6.13.3.3 eCAP/HRCAP 同期
        4. 6.13.3.4 eCAP の電気的データおよびタイミング
          1. 6.13.3.4.1 eCAP のタイミング要件
          2. 6.13.3.4.2 eCAP のスイッチング特性
        5. 6.13.3.5 HRCAP の電気的データおよびタイミング
          1. 6.13.3.5.1 HRCAP スイッチング特性
          2. 6.13.3.5.2 HRCAP の図とグラフ
      4. 6.13.4 拡張直交エンコーダ パルス (eQEP)
        1. 6.13.4.1 eQEP の電気的データおよびタイミング
          1. 6.13.4.1.1 eQEP のタイミング要件
          2. 6.13.4.1.2 eQEP のスイッチング特性
    15. 6.14 通信ペリフェラル
      1. 6.14.1 CAN (Controller Area Network)
      2. 6.14.2 I2C (Inter-Integrated Circuit)
        1. 6.14.2.1 I2C の電気的データおよびタイミング
          1. 6.14.2.1.1 I2C のタイミング要件
          2. 6.14.2.1.2 I2C のスイッチング特性
          3. 6.14.2.1.3 I2C タイミング図
      3. 6.14.3 PMBus (Power Management Bus) インターフェイス
        1. 6.14.3.1 PMBus の電気的データおよびタイミング
          1. 6.14.3.1.1 PMBus の電気的特性
          2. 6.14.3.1.2 PMBus ファスト モードのスイッチング特性
          3. 6.14.3.1.3 PMBus スタンダード モードのスイッチング特性
      4. 6.14.4 シリアル通信インターフェイス (SCI)
      5. 6.14.5 シリアル・ペリフェラル・インターフェイス (SPI)
        1. 6.14.5.1 SPI マスタ モードのタイミング
          1. 6.14.5.1.1 SPI マスタ モードのタイミング要件
          2. 6.14.5.1.2 SPI マスタ モードのスイッチング特性 (クロック位相=0)
          3. 6.14.5.1.3 SPI マスタ モードのスイッチング特性 (クロック位相=1)
          4. 6.14.5.1.4 SPI マスタ モードのタイミング図
        2. 6.14.5.2 SPI スレーブ モードのタイミング
          1. 6.14.5.2.1 SPI スレーブ モードのタイミング要件
          2. 6.14.5.2.2 SPI スレーブ モードのスイッチング特性
          3. 6.14.5.2.3 SPI スレーブ モード タイミング図
      6. 6.14.6 LIN (Local Interconnect Network)
      7. 6.14.7 高速シリアル インターフェイス (FSI)
        1. 6.14.7.1 FSI トランスミッタ
          1. 6.14.7.1.1 FSITX の電気的データおよびタイミング
            1. 6.14.7.1.1.1 FSITX スイッチング特性
            2. 6.14.7.1.1.2 FSITX タイミング
        2. 6.14.7.2 FSI レシーバ
          1. 6.14.7.2.1 FSIRX の電気的データおよびタイミング
            1. 6.14.7.2.1.1 FSIRX のタイミング要件
            2. 6.14.7.2.1.2 FSIRX スイッチング特性
            3. 6.14.7.2.1.3 FSIRX タイミング
        3. 6.14.7.3 FSI SPI 互換モード
          1. 6.14.7.3.1 FSITX SPI 信号モードの電気的データおよびタイミング
            1. 6.14.7.3.1.1 FSITX SPI 信号モードのスイッチング特性
            2. 6.14.7.3.1.2 FSITX SPI 信号モードのタイミング
      8. 6.14.8 ホスト インターフェイス コントローラ (HIC)
        1. 6.14.8.1 HIC の電気的データおよびタイミング
          1. 6.14.8.1.1 HIC のタイミング要件
          2. 6.14.8.1.2 HIC スイッチング特性
          3. 6.14.8.1.3 HIC のタイミング図
  8. 詳細説明
    1. 7.1  概要
    2. 7.2  機能ブロック図
    3. 7.3  メモリ
      1. 7.3.1 メモリ マップ
        1. 7.3.1.1 専用 RAM (Mx RAM)
        2. 7.3.1.2 ローカル共有 RAM (LSx RAM)
        3. 7.3.1.3 グローバル共有 RAM (GSx RAM)
      2. 7.3.2 フラッシュ メモリ マップ
        1. 7.3.2.1 フラッシュ セクタのアドレス
      3. 7.3.3 ペリフェラル・レジスタのメモリ・マップ
    4. 7.4  識別
    5. 7.5  バス アーキテクチャ – ペリフェラル コネクティビティ
    6. 7.6  C28x プロセッサ
      1. 7.6.1 浮動小数点演算ユニット (FPU)
      2. 7.6.2 高速整数除算ユニット
      3. 7.6.3 三角関数演算ユニット (TMU)
      4. 7.6.4 VCRC ユニット
    7. 7.7  組み込みのリアルタイム解析および診断 (ERAD)
    8. 7.8  バックグラウンド CRC-32 (BGCRC)
    9. 7.9  ダイレクト メモリ アクセス (DMA)
    10. 7.10 デバイス ブート モード
      1. 7.10.1 デバイス ブートの構成
        1. 7.10.1.1 ブート モード ピンの構成
        2. 7.10.1.2 ブート モード テーブル オプションの設定
      2. 7.10.2 GPIO の割り当て
    11. 7.11 デュアル コード セキュリティ モジュール
    12. 7.12 ウォッチドッグ
    13. 7.13 C28x タイマ
    14. 7.14 デュアル クロック コンパレータ (DCC)
      1. 7.14.1 特長
      2. 7.14.2 DCCx (DCC0、DCC1) クロック ソース入力のマッピング
    15. 7.15 構成可能ロジック ブロック (CLB)
  9. アプリケーション、実装、およびレイアウト
    1. 8.1 デバイスの主な特長
    2. 8.2 アプリケーション情報
      1. 8.2.1 代表的なアプリケーション
        1. 8.2.1.1 サーボ・ドライブ制御モジュール
          1. 8.2.1.1.1 システム・ブロック図
          2. 8.2.1.1.2 サーボ ドライブ制御モジュールのリソース
        2. 8.2.1.2 サーバー / テレコム電源ユニット (PSU)
          1. 8.2.1.2.1 システム・ブロック図
          2. 8.2.1.2.2 サーバーおよびテレコム PSU (電源) のリソース
        3. 8.2.1.3 商用テレコム整流器
          1. 8.2.1.3.1 システム・ブロック図
          2. 8.2.1.3.2 商用テレコム整流器のリソース
        4. 8.2.1.4 EV 充電ステーション向けパワー・モジュール
          1. 8.2.1.4.1 システム ブロック図
          2. 8.2.1.4.2 EV 充電ステーション向けパワー モジュール資料
        5. 8.2.1.5 エアコン室外機
          1. 8.2.1.5.1 システム・ブロック図
          2. 8.2.1.5.2 エアコン室外機のリソース
  10. デバイスおよびドキュメントのサポート
    1. 9.1 入門と次のステップ
    2. 9.2 デバイスおよび開発ツールの命名規則
    3. 9.3 マーキング
    4. 9.4 ツールとソフトウェア
    5. 9.5 ドキュメントのサポート
    6. 9.6 サポート・リソース
    7. 9.7 商標
    8. 9.8 静電気放電に関する注意事項
    9. 9.9 用語集
  11. 10改訂履歴
  12. 11メカニカル、パッケージ、および注文情報
    1. 11.1 パッケージ情報

パッケージ・オプション

メカニカル・データ(パッケージ|ピン)
サーマルパッド・メカニカル・データ
発注情報

ツールとソフトウェア

テキサス・インスツルメンツでは、幅広い開発ツールを提供しています。ツールおよびソフトウェアの一部は、デバイスの性能評価や、コードの生成に使用され、それに従ってソリューションの開発が行われます。C2000™ リアルタイム制御 MCU 用に提供しているすべてのツールおよびソフトウェアを参照するには、C2000 リアルタイム制御 MCU - 設計および開発のページをご覧ください。

開発ツール

LAUNCHXL-F280025C
LAUNCHXL-F280025C は、 テキサス・インスツルメンツの C2000™ リアルタイム コントローラである F28002x デバイス シリーズ向けの低コスト開発ボードです。初期の評価とプロトタイプ製作に最適なこのボードは、次期アプリケーションを開発するための標準化済みで使いやすいプラットフォームを実現します。このボードは LaunchPad™ 開発キットの拡張バージョンであり、評価用に追加のピンを採用し、2 個の BoosterPack™ プラグイン モジュールとの接続をサポートをしています。

F280025 controlCARD
F280025 controlCARD は、HSEC180 controlCARD をベースとする、C2000™ F28002x シリーズのマイクロコントローラ製品向けの評価 / 開発ツールです。controlCARD は、初期評価とシステムのプロトタイピングに最適です。controlCARD は、包括的なボードレベル モジュールで、2 つの標準フォーム ファクタ (100 ピン DIMM または 180 ピン HSEC) のいずれかを使用して、低プロファイルのシングルボード コントローラ ソリューションを実現します。初めて評価を行う場合、通常、controlCARD はベースボードとバンドルで購入されるか、あるいはアプリケーション キットにバンドルされています。

TI Resource Explorer
操作性を向上させるには、TI Resource Explorer からアプリケーションのサンプル、ライブラリ、資料を参照してください。

ソフトウェア ツール

C2000 MCU 用 C2000Ware
C2000™ MCU 用の C2000Ware は、ソフトウェアおよびドキュメントの総合的なセットで、ソフトウェア開発時間を最小化できるよう設計されています。特定デバイス向けドライバ、ライブラリ、ペリフェラル サンプルを収録しています。

Digital Power SDK
Digital Power SDK は、ソフトウェア インフラ、ツール、資料の包括的なセットであり、AC-DC、DC-DC、DC-AC 電源アプリケーション向け C2000 MCU ベースのデジタル電源システムの開発期間の大幅な短縮を可能にします。このソフトウェアには、C2000 デジタル電源評価モジュール (EVM) で動作するファームウェアと、太陽光発電、テレコム、サーバー、電気自動車 (EV) チャージャ、産業用電源供給アプリケーション向けの TI Designs (TID) が含まれています。Digital Power SDK は、デジタル電源アプリケーションの開発と評価のすべての段階で必要とされるあらゆるリソースを提供します。

モーター制御 SDK
モーター制御 SDK は、さまざまな 3 相モーター制御アプリケーションで使用するために C2000 MCU ベースのモーター制御システムの開発時間を最小限に抑える目的で設計されたソフトウェア インフラ、ツール、資料の包括的なセットです。このソフトウェアには、C2000 モーター制御評価基板 (EVM) で動作するファームウェアと、産業用ドライブおよびその他のモーター制御向けの TI Designs (TID) が含まれています。モーター制御 SDK は、高性能モーター制御電源アプリケーションの開発と評価のすべての段階で必要とされるあらゆるリソースを用意してします。

C2000 マイクロコントローラ用の Code Composer Studio™ (CCS) 統合開発環境 (IDE)
Code Composer Studio は、 テキサス・インスツルメンツのマイクロコントローラおよび組み込みプロセッサ ポートフォリオをサポートする統合開発環境 (IDE) です。Code Composer Studio は、組み込みアプリケーションの開発およびデバッグに必要な一連のツールで構成されています。最適化C/C++コンパイラ、ソース コード エディタ、プロジェクト ビルド環境、デバッガ、プロファイラなど、多数の機能が含まれています。この IDE は直感的で、アプリケーションの開発フローの各段階を、すべて同一のユーザー インターフェイスで実行できます。使い慣れたツールとインターフェイスにより、ユーザーは従来より迅速に作業を開始できます。Code Composer Studio は、Eclipse ソフトウェア フレームワークの利点と、 テキサス・インスツルメンツの先進的な組み込みデバッグ機能の利点を組み合わせて、組み込み製品の開発者向けの魅力的で機能豊富な開発環境を実現します。

SysConfig システム構成ツール
SysConfig は、ピン、ペリフェラル、無線、サブシステム、その他のコンポーネントを構成するための包括的なグラフィカル ユーティリティ コレクションです。SysConfig を使用すると、問題の管理、表面化、解決をビジュアルな方法で実行できるので、より多くの時間をアプリケーションの差異化に割り当てることができます。このツールの出力には C ヘッダとコード ファイルが含まれており、ソフトウェア開発キット (SDK) サンプルと組み合わせて使用することも、カスタム ソフトウェアの構成に使用することもできます。SysConfig ツールは、入力した要件を満たす PinMux 設定を自動的に選択します。SysConfig ツールは CCS に統合されており、スタンドアロン インストーラとしても提供されています。また、dev.ti.com クラウド ツール ポータルからも使用できます。SysConfig システム構成ツールの詳細については、システム構成ツールのページを参照してください。

C2000 サード パーティー検索ツール テキサス・インスツルメンツは複数の企業と協力して、 テキサス・インスツルメンツの C2000 デバイスに対応する多様なソリューションとサービスを提供しています。これらの企業は、各種 C2000 デバイスを使用した量産へと至るお客様の開発工程の迅速化に役立ちます。この検索ツールをダウンロードすると、サード パーティー各社の概要を手早く参照し、お客様のニーズに適したサード パーティーを見つけることができます。

モデル

製品の「設計 & 開発」ページでは、各種のモデルをダウンロードできます。これらのモデルには、I/Oバッファ情報仕様(IBIS)モデルや、バウンダリ スキャン記述言語(BSDL)モデルが含まれます。利用可能なすべてのモデルを参照するには、各デバイスの「設計 & 開発」ページの「設計ツール & シミュレーション」セクションをご覧ください。

トレーニング

設計エンジニアがC2000マイクロコントローラの機能および性能を十分に活用できるよう、TIは各種のトレーニング リソースを開発しました。オンライン トレーニング資料や、ダウンロード可能な実践的ワークショップを活用することで、C2000マイクロコントローラ ファミリの完全な動作の知識を簡単に習得できます。これらのトレーニング資料は、習得を容易にし、開発期間を短縮し、製品を短期間で開発できるよう設計されています。各種トレーニング資料の詳細については、C2000™ アルタイム制御 MCU - サポート & トレーニングのサイトを参照してください。

F28002x のアーキテクチャと多くのペリフェラルは、F28004x のアーキテクチャと類似しています。以下のワークショップ資料と、『TMS320F28004x と TMS320F28002x の間の移行』アプリケーション レポートでは、TMS320F28004x アーキテクチャの技術的詳細を取り上げ、F28002x デバイスのユーザーに役立つデバイスの相違点を説明します。

TMS320F28004x 固有の実践的トレーニング資料は、C2000™ MCU デバイス ワークショップにあります。

新しい C2000 TMS320F28004x デバイス ファミリの技術的概要

F28002x のペリフェラルとアーキテクチャの多くは、F28004x と類似しています。このプレゼンテーションでは、TMS320F28004x アーキテクチャの技術的詳細を述べ、さまざまな主要ペリフェラルの新たな改良点を紹介しており、F28002x デバイスのユーザーに役立ちます。