JAJSPK0A December   2022  – October 2023 DRV8461

PRODUCTION DATA  

  1.   1
  2. 特長
  3. アプリケーション
  4. 概要
  5. 改訂履歴
  6. ピン構成および機能
  7. 仕様
    1. 6.1 絶対最大定格
    2. 6.2 ESD 定格
    3. 6.3 推奨動作条件
    4. 6.4 熱に関する情報
    5. 6.5 電気的特性
      1. 6.5.1 SPI のタイミング要件
      2. 6.5.2 STEP と DIR のタイミング要件
    6. 6.6 代表的な特性
  8. 詳細説明
    1. 7.1 概要
    2. 7.2 機能ブロック図
    3. 7.3 機能説明
      1. 7.3.1  動作インターフェイス
      2. 7.3.2  ステッパ・モーター・ドライバの電流定格
        1. 7.3.2.1 ピーク電流定格
        2. 7.3.2.2 RMS 電流定格
        3. 7.3.2.3 フルスケール電流定格
      3. 7.3.3  PWM モーター・ドライバ
      4. 7.3.4  マイクロステッピング・インデクサ
      5. 7.3.5  インデクサ出力
        1. 7.3.5.1 nHOME 出力
      6. 7.3.6  自動マイクロステッピング・モード
      7. 7.3.7  カスタム・マイクロステッピング表
      8. 7.3.8  電流レギュレーション
        1. 7.3.8.1 内部リファレンス電圧
      9. 7.3.9  静止電力節約モード
      10. 7.3.10 電流レギュレーション減衰モード
        1. 7.3.10.1 低速減衰
        2. 7.3.10.2 混合減衰
        3. 7.3.10.3 スマート・チューン・ダイナミック減衰
        4. 7.3.10.4 スマート・チューン・リップル・コントロール
        5. 7.3.10.5 PWM オフ時間
        6. 7.3.10.6 電流レギュレーション・ブランキング時間とグリッチ除去時間
      11. 7.3.11 外付け抵抗による電流検出
      12. 7.3.12 サイレント・ステップ減衰モード
      13. 7.3.13 自動トルクの動的電流調整
        1. 7.3.13.1 自動トルク学習ルーチン
        2. 7.3.13.2 電流制御ループ
        3. 7.3.13.3 PD 制御ループ
      14. 7.3.14 チャージ・ポンプ
      15. 7.3.15 リニア電圧レギュレータ
      16. 7.3.16 VCC 電圧電源
      17. 7.3.17 ロジック・レベル、トライレベル、クワッドレベルのピン構造図
      18. 7.3.18 スペクトラム拡散
      19. 7.3.19 保護回路
        1. 7.3.19.1  VM 低電圧誤動作防止
        2. 7.3.19.2  VCP 低電圧誤動作防止 (CPUV)
        3. 7.3.19.3  ロジック電源パワーオン・リセット (POR)
        4. 7.3.19.4  過電流保護 (OCP)
          1. 7.3.19.4.1 ラッチ付きシャットダウン
          2. 7.3.19.4.2 自動リトライ
        5. 7.3.19.5  ストール検出
        6. 7.3.19.6  開放負荷検出 (OL)
        7. 7.3.19.7  過熱警告 (OTW)
        8. 7.3.19.8  サーマル・シャットダウン (OTSD)
          1. 7.3.19.8.1 ラッチ付きシャットダウン
          2. 7.3.19.8.2 自動リトライ
        9. 7.3.19.9  電源電圧検出
        10. 7.3.19.10 nFAULT 出力
        11. 7.3.19.11 フォルト条件のまとめ
      20. 7.3.20 デバイスの機能モード
        1. 7.3.20.1 スリープ・モード
        2. 7.3.20.2 ディセーブル・モード
        3. 7.3.20.3 動作モード
        4. 7.3.20.4 nSLEEP リセット・パルス
        5. 7.3.20.5 機能モードのまとめ
    4. 7.4 プログラミング
      1. 7.4.1 シリアル・ペリフェラル・インターフェイス (SPI) 通信
        1. 7.4.1.1 SPI フォーマット
        2. 7.4.1.2 デイジー・チェーン構成における複数のターゲット・デバイスの SPI
        3. 7.4.1.3 並列構成における複数のターゲット・デバイスの SPI
    5. 7.5 レジスタ・マップ
      1. 7.5.1 ステータス・レジスタ
        1. 7.5.1.1 FAULT (アドレス=0x00) [デフォルト=00h]
        2. 7.5.1.2 DIAG1 (アドレス=0x01) [デフォルト=00h]
        3. 7.5.1.3 DIAG2 (アドレス=0x02) [デフォルト=00h]
        4. 7.5.1.4 DIAG3 (アドレス=0x03) [デフォルト=00h]
      2. 7.5.2 制御レジスタ
        1. 7.5.2.1  CTRL1 (アドレス = 0x04) [デフォルト = 0Fh]
        2. 7.5.2.2  CTRL2 (アドレス=0x05) [デフォルト=06h]
        3. 7.5.2.3  CTRL3 (アドレス=0x06) [デフォルト=38h]
        4. 7.5.2.4  CTRL4 (アドレス=0x07) [デフォルト=49h]
        5. 7.5.2.5  CTRL5 (アドレス=0x08) [デフォルト=03h]
        6. 7.5.2.6  CTRL6 (アドレス=0x09) [デフォルト=20h]
        7. 7.5.2.7  CTRL7 (アドレス=0x0A) [デフォルト=FFh]
        8. 7.5.2.8  CTRL8 (アドレス=0x0B) [デフォルト=0Fh]
        9. 7.5.2.9  CTRL9 (アドレス=0x0C) [デフォルト=10h]
        10. 7.5.2.10 CTRL10 (アドレス=0x0D) [デフォルト=80h]
        11. 7.5.2.11 CTRL11 (アドレス=0x0E) [デフォルト=FFh]
        12. 7.5.2.12 CTRL12 (アドレス=0x0F) [デフォルト=20h]
        13. 7.5.2.13 CTRL13 (アドレス=0x10) [デフォルト=10h]
        14. 7.5.2.14 CTRL14 (アドレス = 0x3C) [デフォルト = 58h]
      3. 7.5.3 インデクサ・レジスタ
        1. 7.5.3.1 INDEX1 (アドレス=0x11) [デフォルト=80h]
        2. 7.5.3.2 INDEX2 (アドレス=0x12) [デフォルト=80h]
        3. 7.5.3.3 INDEX3 (アドレス=0x13) [デフォルト=80h]
        4. 7.5.3.4 INDEX4 (アドレス=0x14) [デフォルト=82h]
        5. 7.5.3.5 INDEX5 (アドレス=0x15) [デフォルト=B5h]
      4. 7.5.4 カスタム・マイクロステッピング・レジスタ
        1. 7.5.4.1 CUSTOM_CTRL1 (アドレス=0x16) [デフォルト=00h]
        2. 7.5.4.2 CUSTOM_CTRL2 (アドレス=0x17) [デフォルト=00h]
        3. 7.5.4.3 CUSTOM_CTRL3 (アドレス=0x18) [デフォルト=00h]
        4. 7.5.4.4 CUSTOM_CTRL4 (アドレス=0x19) [デフォルト=00h]
        5. 7.5.4.5 CUSTOM_CTRL5 (アドレス=0x1A) [デフォルト=00h]
        6. 7.5.4.6 CUSTOM_CTRL6 (アドレス=0x1B) [デフォルト=00h]
        7. 7.5.4.7 CUSTOM_CTRL7 (アドレス=0x1C) [デフォルト=00h]
        8. 7.5.4.8 CUSTOM_CTRL8 (アドレス=0x1D) [デフォルト=00h]
        9. 7.5.4.9 CUSTOM_CTRL9 (アドレス=0x1E) [デフォルト=00h]
      5. 7.5.5 自動トルク・レジスタ
        1. 7.5.5.1  ATQ_CTRL1 (アドレス=0x1F) [デフォルト=00h]
        2. 7.5.5.2  ATQ_CTRL2 (アドレス=0x20) [デフォルト=00h]
        3. 7.5.5.3  ATQ_CTRL3 (アドレス=0x21) [デフォルト=00h]
        4. 7.5.5.4  ATQ_CTRL4 (アドレス=0x22) [デフォルト=20h]
        5. 7.5.5.5  ATQ_CTRL5 (アドレス=0x23) [デフォルト=00h]
        6. 7.5.5.6  ATQ_CTRL6 (アドレス=0x24) [デフォルト=00h]
        7. 7.5.5.7  ATQ_CTRL7 (アドレス=0x25) [デフォルト=00h]
        8. 7.5.5.8  ATQ_CTRL8 (アドレス=0x26) [デフォルト=00h]
        9. 7.5.5.9  ATQ_CTRL9 (アドレス=0x27) [デフォルト=00h]
        10. 7.5.5.10 ATQ_CTRL10 (アドレス=0x28) [デフォルト=08h]
        11. 7.5.5.11 ATQ_CTRL11 (アドレス=0x29) [デフォルト=0Ah]
        12. 7.5.5.12 ATQ_CTRL12 (アドレス=0x2A) [デフォルト=FFh]
        13. 7.5.5.13 ATQ_CTRL13 (アドレス=0x2B) [デフォルト=05h]
        14. 7.5.5.14 ATQ_CTRL14 (アドレス=0x2C) [デフォルト=0Fh]
        15. 7.5.5.15 ATQ_CTRL15 (アドレス=0x2D) [デフォルト=00h]
        16. 7.5.5.16 ATQ_CTRL16 (アドレス=0x2E) [デフォルト=FFh]
        17. 7.5.5.17 ATQ_CTRL17 (アドレス=0x2F) [デフォルト=00h]
        18. 7.5.5.18 ATQ_CTRL18 (アドレス=0x30) [デフォルト=00h]
      6. 7.5.6 サイレント・ステップ・レジスタ
        1. 7.5.6.1 SS_CTRL1 (アドレス=0x31) [デフォルト=00h]
        2. 7.5.6.2 SS_CTRL2 (アドレス=0x32) [デフォルト=00h]
        3. 7.5.6.3 SS_CTRL3 (アドレス=0x33) [デフォルト=00h]
        4. 7.5.6.4 SS_CTRL4 (アドレス=0x34) [デフォルト=00h]
        5. 7.5.6.5 SS_CTRL5 (アドレス=0x35) [デフォルト=FFh]
  9. アプリケーションと実装
    1. 8.1 アプリケーション情報
    2. 8.2 代表的なアプリケーション
      1. 8.2.1 設計要件
      2. 8.2.2 詳細な設計手順
        1. 8.2.2.1 ステッピング・モーターの速度
      3. 8.2.3 アプリケーション特性の波形
      4. 8.2.4 熱に関連するアプリケーション
        1. 8.2.4.1 消費電力
        2. 8.2.4.2 導通損失
        3. 8.2.4.3 スイッチング損失
        4. 8.2.4.4 静止時電流による消費電力
        5. 8.2.4.5 全消費電力
        6. 8.2.4.6 デバイスの接合部温度の概算
  10. 熱に関する注意事項
    1. 9.1 サーマル・パッド
    2. 9.2 PCB 材料に関する推奨事項
  11. 10電源に関する推奨事項
    1. 10.1 バルク容量
    2. 10.2 電源
  12. 11レイアウト
    1. 11.1 レイアウトのガイドライン
    2. 11.2 レイアウト例
  13. 12デバイスおよびドキュメントのサポート
    1. 12.1 ドキュメントのサポート
      1. 12.1.1 関連資料
    2. 12.2 ドキュメントの更新通知を受け取る方法
    3. 12.3 サポート・リソース
    4. 12.4 商標
    5. 12.5 静電気放電に関する注意事項
    6. 12.6 用語集
  14. 13メカニカル、パッケージ、および注文情報

パッケージ・オプション

メカニカル・データ(パッケージ|ピン)
サーマルパッド・メカニカル・データ
発注情報

サイレント・ステップ減衰モード

従来のピーク電流モード制御は、検出 MOSFET の瞬間的な電流を調べて、駆動時間と減衰時間を決定します。そのため、モーター・ドライバはシステムの瞬間的な誤差に反応します。このような電流の急激な変化により、モーターから可聴ノイズが発生します。

ノイズのないステッパ・モーター動作を実現するため、DRV8461 にはサイレント・ステップ減衰モードが搭載されています。サイレント・ステップは、静止時と低速時に PWM スイッチングを行うことでノイズを除去する電圧モードの PWM レギュレーション方式です。そのため、サイレント・ステップで動作するステッパ・モーター・アプリケーションは、低ノイズ動作が不可欠となる 3D プリンタ、医療機器、ファクトリ・オートメーションなどのアプリケーションに最適です。

注:

デバイスがサイレント・ステップ減衰モードで動作している場合:

  • 開放負荷障害検出は、モーターが動作しているときにのみ機能し、モーターが静止している場合は機能しません。
  • ストール検出機能はサポートされていません。
  • スペクトラム拡散機能はディセーブルです。

サイレント・ステップ・ループは低帯域幅動作向けに設計されているため、モーター速度が中程度から高速のときは、減衰モードから DECAY ビットでプログラムされた従来の電流モード減衰方式の 1 つに戻すことが可能です。サイレント・ステップから他の減衰モードへはすぐに移行しますが、他の減衰モードからサイレント・ステップへは電気的半周期の境界で移行します。

図 7-18 に、サイレント・ステップ減衰モードの実装のブロック図を示します。

GUID-20220604-SS0I-B7GJ-ZNLX-05RKSGCWPQX3-low.svg図 7-18 サイレント・ステップのブロック図

表 7-23 に、サイレント・ステップ減衰モードに関連する SPI レジスタのパラメータを示します。

表 7-23 サイレント・ステップのパラメータ表

パラメータ

説明

EN_SS

EN_SS ビットが 1b の場合、サイレント・ステップ減衰モードはイネーブルになります。デバイスは、コイル A およびコイル B の電流に対してそれぞれ 1 つのゼロ交差が発生した後、サイレント・ステップで動作を開始します。EN_SS に 0b を書き込むと、サイレント・ステップ減衰モードはディセーブルされ、DECAY ビット設定に従って減衰モードが変化します。

SS_PWM_FREQ[1:0]

サイレント・ステップ減衰モードでの PWM 周波数 (FPWM) を表します。

  • 00b = 25kHz (デフォルト)

  • 01b = 33kHz

  • 10b = 42kHz

  • 11b = 50kHz

PWM 周波数が高くなると、スイッチング損失も大きくなります。

SS_SMPL_SEL[1:0] サイレント・ステップ電流ゼロ交差サンプリング時間。デフォルト値は 2μs です。ゼロ交差付近で電流波形が歪んでいる場合は、サンプリング時間を長くしてください。
  • 00b = 2μs (デフォルト)

  • 01b = 3μs

  • 10b = 4μs

  • 11b = 5μs

SS_KP[6:0]

サイレント・ステップ PI コントローラの比例ゲインを表します。範囲は 0~127 で、デフォルト値は 0 です。

SS_KI[6:0]

サイレント・ステップ PI コントローラの積分ゲインを表します。範囲は 0~127 で、デフォルト値は 0 です。

SS_KP_DIV_SEL[2:0]

KP の分割係数。実際の KP = SS_KP / SS_KP_DIV_SEL です。

  • 000b - SS_KP/32 (デフォルト)

  • 001b - SS_KP/64
  • 010b - SS_KP/128
  • 011b - SS_KP/256
  • 100b - SS_KP/512
  • 101b - SS_KP/16
  • 110b - SS_KP

SS_KI_DIV_SEL[2:0]

KI の分割係数。実際の KI = SS_KI / SS_KI_DIV_SEL です。

  • 000b - SS_KI/32 (デフォルト)

  • 001b - SS_KI/64
  • 010b - SS_KI/128
  • 011b - SS_KI/256
  • 100b - SS_KI/512
  • 101b - SS_KI/16
  • 110b - SS_KI

SS_THR[7:0]

デバイスがサイレント・ステップ減衰モードから、DECAY ビットによってプログラムされた別の減衰モードに遷移する周波数をプログラムします。この周波数は、正弦波電流波形の周波数に相当します。
  • 00000001b = 2Hz
  • 00000010b = 4Hz
  • .
  • .
  • 11111111b = 510Hz (デフォルト)

SS_THR スレッショルドを、指定されたマイクロステッピング設定のステップ周波数 (fSTEP) に変換するには、式 14 を使用する必要があります。

式 6. fSTEP = (SS_THR * 1000 * usm) / 256

ここで、usm はマイクロステップ数 (4、16、256 など) に相当します。デバイスがカスタム・マイクロステッピング・モードで動作している場合、ステップ周波数を求めるには、式 14 に usm = 256 を使用します。

サイレント・ステップ・ループのゲインと周波数との関係を以下に示します。

GUID-20220606-SS0I-CJGC-PTG3-MHBNJC0ZTM6C-low.svg図 7-19 サイレント・ステップ・ゲインと周波数との関係

ループ伝達関数には、次の 2 つの極と 1 つのゼロが含まれます。

  • 原点に 1 つの極
  • モーター・コイルの抵抗とインダクタンスによる 1 つの極 (fP)
    式 7. fP = RMOTOR / (2 * π * LMOTOR)
  • PI ループによって生成される 1 つのゼロ (fZ)
    式 8. fZ = (KI * FPWM) / (2 * π * KP)

目標のループ・ゲインを実現するには、比例ゲイン KP を選択する必要があります。KP を求めるには、以下の式を使用します。

式 9. KP = 6 * π * UGB * LMOTOR / VM

ここで、UGB はループのユニティゲイン帯域幅、RMOTOR はモーター・コイル抵抗、LMOTOR はモーター・コイル・インダクタンス、IFS はフルスケール電流、VM は電源電圧です。

  • いずれかの周波数が UGB 未満になると、伝搬が許可されます。
  • PWM 周波数やステップ周波数など、UGB 以上の周波数は減衰し、モーターのノイズには影響しません。
  • 可聴範囲内の大部分の周波数を減衰させるには、UGB を 200Hz にするのが妥当です。
  • 電源電圧が変化した場合は、KP の値を修正することで UGB を変更できます。この方法で、さまざまな動作条件にわたって同様のオーディオ・ノイズを抑制することができます。
  • モーターの極より低い周波数にゼロを選択すると、ゲインと周波数との関係に示すように UGB は増加します。

モーターの極をキャンセルするには、ゼロを配置する必要があります。離散化された実装では fP と fZ を等しくすることで、次の式を使用して KI を計算できます。

式 10. KI = KP * RMOTOR / (FPWM * LMOTOR)

例として、以下の使用事例を考えてみます。

  • VM = 24V
  • IFS = 3A
  • RMOTOR = 0.9Ω
  • LMOTOR = 1.2mH
  • UGB = 200Hz
  • FPWM = 25kHz
  • 50RPM を超えると、減衰モードはサイレント・ステップからスマート・チューン・リップル・コントロールに変更されます。

上記の式を使用すると、KP = 0.1885、KI = 0.00566 となります。次のレジスタ値を設定できます。

  • SS_KP = 0110000b = 48
  • SS_KI = 0000011b = 3
  • SS_KP_DIV_SEL = 011b = 1/256
  • SS_KI_DIV_SEL = 100b = 1/512
  • 50RPM は 1/256 マイクロステッピング時に約 42.6kpps に相当し、42Hz の正弦波電流波形の周波数に相当します。SS_THR = 00010101b = 21 です。

以下の画像に、モーターがサイレント・ステップ減衰モードで動作しているときの滑らかな正弦波コイル電流の波形を示します。

GUID-20220604-SS0I-TFCD-VZZ1-2NHNPXBG1VN2-low.png図 7-20 サイレント・ステップ減衰でのコイル電流波形

SS_SMPL_SEL ビットは、ゼロ交差点付近の電流波形の滑らかさに影響を及ぼします。デフォルト値の 2μs サンプリング時間は、ほとんどのモーターやアプリケーションで適切に動作します。電流波形の歪みがゼロ交差付近に見られる場合、サンプリング時間の値を最大 5μs まで増やすことができます。以下の画像は、サイレント・ステップ減衰モードからスマート・チューン・リップル・コントロール減衰モードへの遷移例で、サンプリング時間は 5μs です。

GUID-20221202-SS0I-JMLH-GSVX-BL5XQXHLGLV6-low.png図 7-21 サイレント・ステップからスマート・チューンへの遷移. パターンは上から下へ順に:AOUT2、AOUT1、コイル A 電流、コイル B 電流、nSCS